Sponsored Links


Results 1 to 5 of 5

Thread: CS302 Digital Logic Design Assignment 6 Deadline 22 July 2010

Hybrid View

Previous Post Previous Post   Next Post Next Post
  1. #1
    Senior Member viki's Avatar
    Join Date
    May 2010
    Posts
    2,132

    28 CS302 Digital Logic Design Assignment 6 Deadline 22 July 2010

    Assignment


    Question_1: [marks: 15]
    What is the difference between serial and parallel transfer? Explain how to convert serial data to parallel and parallel data to serial. What type of register is needed?


    Question_2: [marks: 5]
    How can a serial in/parallel out register be used as a serial in/serial out register?


    Sponsored Links



    Note: Provide to-the-point answer.
    :o:o--------------------------------------------------------------------------------------:o:o
    [B]The more knowledge you have, the greater will be your fear of Allah.[/B]

    Please Join My [B]Group Vuhelp[/B][B], Birthday Wishing, Daily Hadees[/B] [CODE][B]http://vuhelp.net/groups/vuhelp.html[/B]
    [B]http://vuhelp.net/groups/birthday-wishing.html[/B]
    [B]http://vuhelp.net/groups/daily-hadees.html[/B][/CODE]
    [CENTER][B][COLOR="Red"][SIZE="4"]Email: [email]viki@vuhelp.net[/email][/SIZE][/COLOR][/B][/CENTER]

  2. #2
    Administrator Xpert's Avatar
    Join Date
    May 2010
    Location
    Jhelum
    Posts
    6,239
    Serial type data is that goes in once it can be seen that we send some thing in one way. which is either going or coming. But in parallel we can see that it can come and go at the same time. Like half duplex and full duplex. Actually the serial is like simplex. it goes one way. Like door bell. Which is going one way don't have incoming. I hope this idea is good for the first question answer.
    Code:
    Second answer

  3. #3
    Administrator Xpert's Avatar
    Join Date
    May 2010
    Location
    Jhelum
    Posts
    6,239

    Icon14

    A serial-in/parallel-out shift register is similar to the serial-in/ serial-out shift register in that it shifts data into internal storage elements and shifts data out at the serial-out, data-out, pin. It is different in that it makes all the internal stages available as outputs. Therefore, a serial-in/parallel-out shift register converts data from serial format to parallel format. If four data bits are shifted in by four clock pulses via a single wire at data-in, below, the data becomes available simultaneously on the four Outputs QA to QD after the fourth clock pulse.


    Code:
    Second question reference is taken from
    Serial-in, parallel-out shift register : SHIFT REGISTERS

  4. #4
    Senior Member asad's Avatar
    Join Date
    May 2010
    Posts
    115
    complete sol plz.......

  5. #5
    Senior Member viki's Avatar
    Join Date
    May 2010
    Posts
    2,132
    Quote Originally Posted by asad View Post
    complete sol plz.......
    asad bahi har kam xpert bahi he karian hmmmmm zulm hai ji
    :o:o--------------------------------------------------------------------------------------:o:o
    [B]The more knowledge you have, the greater will be your fear of Allah.[/B]

    Please Join My [B]Group Vuhelp[/B][B], Birthday Wishing, Daily Hadees[/B] [CODE][B]http://vuhelp.net/groups/vuhelp.html[/B]
    [B]http://vuhelp.net/groups/birthday-wishing.html[/B]
    [B]http://vuhelp.net/groups/daily-hadees.html[/B][/CODE]
    [CENTER][B][COLOR="Red"][SIZE="4"]Email: [email]viki@vuhelp.net[/email][/SIZE][/COLOR][/B][/CENTER]

Thread Information

Users Browsing this Thread

There are currently 1 users browsing this thread. (0 members and 1 guests)

Similar Threads

  1. Replies: 1
    Last Post: 11-09-2010, 02:52 PM
  2. Replies: 0
    Last Post: 07-23-2010, 03:52 PM
  3. CS302- Digital Logic Design Assignment 4 SOLUTION (July 2010)
    By viki in forum Assignments & Solutions
    Replies: 0
    Last Post: 07-03-2010, 02:30 PM
  4. CS302 Digital Logic Design Assignment # 2 Spring 2010
    By Guru in forum Assignments & Solutions
    Replies: 1
    Last Post: 05-15-2010, 03:43 AM
  5. CS302- Digital Logic Design Assignment # 2 May 13, 2010
    By viki in forum Assignments & Solutions
    Replies: 0
    Last Post: 05-14-2010, 04:38 AM

Posting Permissions

  • You may not post new threads
  • You may not post replies
  • You may not post attachments
  • You may not edit your posts
  •  
-: Vuhelp Disclaimer :-
None of the files shown here are hosted or transmitted by this server. The links are provided solely by this site's users. The administrator's or staff of Vuhelp.net cannot be held responsible for what its users post, or any other actions of its users. You may not use this site to distribute or download any material when you do not have the legal rights to do so. It is your own responsibility to adhere to these terms. If you have any doubts about legality of content or you have any suspicions, feel free to contact us.
Online Education | JhelumSoft